From eed7c91e87468179b8c074fd68a56ace1f6093f6 Mon Sep 17 00:00:00 2001 From: Alexander Medvednikov Date: Fri, 27 Mar 2020 18:01:46 +0100 Subject: [PATCH] move compiler tests from compiler/ to v/ --- cmd/tools/vtest-fmt.v | 4 ++-- examples/cli.v | 2 +- vlib/math/math.v | 2 +- vlib/sokol/gfx/gfx.v | 2 +- vlib/sokol/gfx/gfx_funcs.v | 2 +- vlib/sokol/sapp/sapp_funcs.v | 2 +- vlib/strings/similarity_test.v | 2 +- vlib/{compiler => v}/tests/array_to_string_test.v | 0 vlib/{compiler => v}/tests/asm_test.v | 0 vlib/{compiler => v}/tests/attribute_test.v | 2 +- vlib/{compiler => v}/tests/backtrace_test.v | 0 vlib/{compiler => v}/tests/bench/val_vs_ptr.c | 0 .../tests/comptime_bittness_and_endianess_test.v | 0 vlib/{compiler => v}/tests/const_test.v | 0 vlib/{compiler => v}/tests/cstrings_test.v | 0 vlib/{compiler => v}/tests/defer_test.v | 0 vlib/{compiler => v}/tests/enum_bitfield_test.v | 0 vlib/{compiler => v}/tests/enum_hex_test.v | 0 vlib/{compiler => v}/tests/enum_test.v | 0 vlib/{compiler => v}/tests/fixed_array_test.v | 0 .../tests/fn_expecting_ref_but_returning_struct_test.v | 0 ...fn_expecting_ref_but_returning_struct_time_module_test.v | 0 vlib/{compiler => v}/tests/fn_multiple_returns_test.v | 0 vlib/{compiler => v}/tests/fn_test.v | 0 vlib/{compiler => v}/tests/fn_variadic_test.v | 0 vlib/{compiler => v}/tests/generic_test.v | 0 vlib/{compiler => v}/tests/if_expression_test.v | 0 vlib/{compiler => v}/tests/in_expression_test.v | 0 vlib/v/tests/{ => inout}/compiler_test.v | 2 +- vlib/v/tests/{ => inout}/hello.out | 0 vlib/v/tests/{ => inout}/hello.vv | 0 vlib/v/tests/{ => inout}/hello_devs.out | 0 vlib/v/tests/{ => inout}/hello_devs.vv | 0 vlib/v/tests/{ => inout}/os.out | 0 vlib/v/tests/{ => inout}/os.vv | 0 vlib/{compiler => v}/tests/interface_test.v | 0 vlib/{compiler => v}/tests/interfaces_map_test.v | 0 vlib/{compiler => v}/tests/live_test.v | 0 vlib/{compiler => v}/tests/local/local.v | 0 vlib/{compiler => v}/tests/local_test.v | 2 +- vlib/{compiler => v}/tests/match_test.v | 0 vlib/{compiler => v}/tests/module_test.v | 0 .../tests/modules/acommentedmodule/commentedfile.v | 0 .../tests/modules/amodule/another_internal_module_test.v | 0 .../tests/modules/amodule/internal_module_test.v | 0 vlib/{compiler => v}/tests/modules/amodule/module.v | 0 .../tests/modules/simplemodule/importing_test.v | 0 .../tests/modules/simplemodule/simplemodule.v | 0 vlib/{compiler => v}/tests/msvc_test.v | 0 vlib/{compiler => v}/tests/multiret_with_ptrtype_test.v | 0 vlib/{compiler => v}/tests/mut_test.v | 0 vlib/{compiler => v}/tests/nameof_test.v | 0 vlib/{compiler => v}/tests/option_test.v | 2 +- vlib/{compiler => v}/tests/pointers_test.v | 0 vlib/{compiler => v}/tests/print_test.v | 0 vlib/{compiler => v}/tests/prod/.gitignore | 0 vlib/{compiler => v}/tests/prod/assoc.prod.v | 0 vlib/{compiler => v}/tests/prod/assoc.prod.v.expected.txt | 0 vlib/{compiler => v}/tests/prod_test.v | 2 +- vlib/{compiler => v}/tests/project_with_c_code/.gitignore | 0 vlib/{compiler => v}/tests/project_with_c_code/.v.mod.stop | 0 vlib/{compiler => v}/tests/project_with_c_code/main.v | 0 vlib/{compiler => v}/tests/project_with_c_code/main_test.v | 0 .../tests/project_with_c_code/mod1/c/header.h | 0 .../tests/project_with_c_code/mod1/c/implementation.c | 0 vlib/{compiler => v}/tests/project_with_c_code/mod1/v.mod | 0 .../tests/project_with_c_code/mod1/wrapper.v | 0 .../tests/project_with_modules_having_submodules/.gitignore | 0 .../tests/project_with_modules_having_submodules/README.md | 0 .../bin/a_program_under_bin_can_find_mod1_test.v | 0 .../project_with_modules_having_submodules/bin/main.vsh | 0 .../tests/project_with_modules_having_submodules/mod1/m.v | 0 .../project_with_modules_having_submodules/mod1/mod11/m.v | 0 .../project_with_modules_having_submodules/mod1/mod12/m.v | 0 .../project_with_modules_having_submodules/mod1/mod13/m.v | 0 .../project_with_modules_having_submodules/mod1/mod14/m.v | 0 .../mod1/submodule/m.v | 0 .../tests/project_with_modules_having_submodules/mod1/v.mod | 0 .../tests/submodule_test.v | 0 .../tests/project_with_modules_having_submodules/v.mod | 0 vlib/{compiler => v}/tests/repeated_multiret_values_test.v | 0 vlib/{compiler => v}/tests/repl/.gitattributes | 0 vlib/{compiler => v}/tests/repl/.gitignore | 0 vlib/{compiler => v}/tests/repl/README.md | 0 vlib/{compiler => v}/tests/repl/bad_in_type.repl | 0 vlib/{compiler => v}/tests/repl/chained_fields/bd.repl | 0 vlib/{compiler => v}/tests/repl/chained_fields/c.repl | 0 vlib/{compiler => v}/tests/repl/chained_fields/c2.repl | 0 vlib/{compiler => v}/tests/repl/chained_fields/d.repl | 0 vlib/{compiler => v}/tests/repl/chained_fields/ef.repl | 0 vlib/{compiler => v}/tests/repl/conditional_blocks/for.repl | 0 vlib/{compiler => v}/tests/repl/conditional_blocks/if.repl | 0 .../tests/repl/conditional_blocks/if_else.repl | 0 vlib/{compiler => v}/tests/repl/default_printing.repl | 0 vlib/{compiler => v}/tests/repl/empty_struct.repl | 0 .../{compiler => v}/tests/repl/entire_commented_module.repl | 2 +- vlib/{compiler => v}/tests/repl/error.repl | 0 vlib/{compiler => v}/tests/repl/error_nosave.repl | 0 vlib/{compiler => v}/tests/repl/function.repl | 0 .../tests/repl/immutable_len_fields/fields.1.repl | 0 .../tests/repl/immutable_len_fields/fields.2.repl | 0 .../tests/repl/immutable_len_fields/fields.3.repl | 0 vlib/{compiler => v}/tests/repl/multiple_decl.repl | 0 vlib/{compiler => v}/tests/repl/multiple_println.repl | 0 vlib/{compiler => v}/tests/repl/naked_strings.repl | 0 vlib/{compiler => v}/tests/repl/newlines.repl | 0 vlib/{compiler => v}/tests/repl/nomain.repl | 0 vlib/{compiler => v}/tests/repl/nothing.repl | 0 .../{compiler => v}/tests/repl/open_close_string_check.repl | 0 vlib/{compiler => v}/tests/repl/option.repl | 0 vlib/{compiler => v}/tests/repl/postfix_operators.repl | 0 vlib/{compiler => v}/tests/repl/println.repl | 0 vlib/{compiler => v}/tests/repl/repl_test.v | 6 +++--- vlib/{compiler => v}/tests/repl/run.v | 2 +- vlib/{compiler => v}/tests/repl/runner/runner.v | 0 vlib/{compiler => v}/tests/repl/var_decl.repl | 0 vlib/{compiler => v}/tests/return_voidptr_test.v | 0 .../tests/reusable_mut_multiret_values_test.v | 0 vlib/{compiler => v}/tests/shift_test.v | 0 vlib/{compiler => v}/tests/str_gen_test.v | 0 .../tests/string_interpolation_array_of_structs_test.v | 0 .../tests/string_interpolation_struct_test.v | 0 vlib/{compiler => v}/tests/string_interpolation_test.v | 0 .../tests/string_interpolation_variadic_test.v | 0 .../tests/string_struct_interpolation_test.v | 0 .../tests/struct_chained_fields_correct_test.v | 0 vlib/{compiler => v}/tests/struct_test.v | 0 vlib/{compiler => v}/tests/type_alias_test.v | 0 vlib/{compiler => v}/tests/type_test.v | 0 vlib/{compiler => v}/tests/typeof_test.v | 0 vlib/{compiler => v}/tests/voidptr_to_u64_cast_a_test.v | 0 vlib/{compiler => v}/tests/voidptr_to_u64_cast_b_test.v | 0 132 files changed, 18 insertions(+), 18 deletions(-) rename vlib/{compiler => v}/tests/array_to_string_test.v (100%) rename vlib/{compiler => v}/tests/asm_test.v (100%) rename vlib/{compiler => v}/tests/attribute_test.v (99%) rename vlib/{compiler => v}/tests/backtrace_test.v (100%) rename vlib/{compiler => v}/tests/bench/val_vs_ptr.c (100%) rename vlib/{compiler => v}/tests/comptime_bittness_and_endianess_test.v (100%) rename vlib/{compiler => v}/tests/const_test.v (100%) rename vlib/{compiler => v}/tests/cstrings_test.v (100%) rename vlib/{compiler => v}/tests/defer_test.v (100%) rename vlib/{compiler => v}/tests/enum_bitfield_test.v (100%) rename vlib/{compiler => v}/tests/enum_hex_test.v (100%) rename vlib/{compiler => v}/tests/enum_test.v (100%) rename vlib/{compiler => v}/tests/fixed_array_test.v (100%) rename vlib/{compiler => v}/tests/fn_expecting_ref_but_returning_struct_test.v (100%) rename vlib/{compiler => v}/tests/fn_expecting_ref_but_returning_struct_time_module_test.v (100%) rename vlib/{compiler => v}/tests/fn_multiple_returns_test.v (100%) rename vlib/{compiler => v}/tests/fn_test.v (100%) rename vlib/{compiler => v}/tests/fn_variadic_test.v (100%) rename vlib/{compiler => v}/tests/generic_test.v (100%) rename vlib/{compiler => v}/tests/if_expression_test.v (100%) rename vlib/{compiler => v}/tests/in_expression_test.v (100%) rename vlib/v/tests/{ => inout}/compiler_test.v (95%) rename vlib/v/tests/{ => inout}/hello.out (100%) rename vlib/v/tests/{ => inout}/hello.vv (100%) rename vlib/v/tests/{ => inout}/hello_devs.out (100%) rename vlib/v/tests/{ => inout}/hello_devs.vv (100%) rename vlib/v/tests/{ => inout}/os.out (100%) rename vlib/v/tests/{ => inout}/os.vv (100%) rename vlib/{compiler => v}/tests/interface_test.v (100%) rename vlib/{compiler => v}/tests/interfaces_map_test.v (100%) rename vlib/{compiler => v}/tests/live_test.v (100%) rename vlib/{compiler => v}/tests/local/local.v (100%) rename vlib/{compiler => v}/tests/local_test.v (70%) rename vlib/{compiler => v}/tests/match_test.v (100%) rename vlib/{compiler => v}/tests/module_test.v (100%) rename vlib/{compiler => v}/tests/modules/acommentedmodule/commentedfile.v (100%) rename vlib/{compiler => v}/tests/modules/amodule/another_internal_module_test.v (100%) rename vlib/{compiler => v}/tests/modules/amodule/internal_module_test.v (100%) rename vlib/{compiler => v}/tests/modules/amodule/module.v (100%) rename vlib/{compiler => v}/tests/modules/simplemodule/importing_test.v (100%) rename vlib/{compiler => v}/tests/modules/simplemodule/simplemodule.v (100%) rename vlib/{compiler => v}/tests/msvc_test.v (100%) rename vlib/{compiler => v}/tests/multiret_with_ptrtype_test.v (100%) rename vlib/{compiler => v}/tests/mut_test.v (100%) rename vlib/{compiler => v}/tests/nameof_test.v (100%) rename vlib/{compiler => v}/tests/option_test.v (99%) rename vlib/{compiler => v}/tests/pointers_test.v (100%) rename vlib/{compiler => v}/tests/print_test.v (100%) rename vlib/{compiler => v}/tests/prod/.gitignore (100%) rename vlib/{compiler => v}/tests/prod/assoc.prod.v (100%) rename vlib/{compiler => v}/tests/prod/assoc.prod.v.expected.txt (100%) rename vlib/{compiler => v}/tests/prod_test.v (95%) rename vlib/{compiler => v}/tests/project_with_c_code/.gitignore (100%) rename vlib/{compiler => v}/tests/project_with_c_code/.v.mod.stop (100%) rename vlib/{compiler => v}/tests/project_with_c_code/main.v (100%) rename vlib/{compiler => v}/tests/project_with_c_code/main_test.v (100%) rename vlib/{compiler => v}/tests/project_with_c_code/mod1/c/header.h (100%) rename vlib/{compiler => v}/tests/project_with_c_code/mod1/c/implementation.c (100%) rename vlib/{compiler => v}/tests/project_with_c_code/mod1/v.mod (100%) rename vlib/{compiler => v}/tests/project_with_c_code/mod1/wrapper.v (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/.gitignore (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/README.md (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/bin/a_program_under_bin_can_find_mod1_test.v (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/bin/main.vsh (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/mod1/m.v (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/mod1/mod11/m.v (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/mod1/mod12/m.v (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/mod1/mod13/m.v (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/mod1/mod14/m.v (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/mod1/submodule/m.v (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/mod1/v.mod (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/tests/submodule_test.v (100%) rename vlib/{compiler => v}/tests/project_with_modules_having_submodules/v.mod (100%) rename vlib/{compiler => v}/tests/repeated_multiret_values_test.v (100%) rename vlib/{compiler => v}/tests/repl/.gitattributes (100%) rename vlib/{compiler => v}/tests/repl/.gitignore (100%) rename vlib/{compiler => v}/tests/repl/README.md (100%) rename vlib/{compiler => v}/tests/repl/bad_in_type.repl (100%) rename vlib/{compiler => v}/tests/repl/chained_fields/bd.repl (100%) rename vlib/{compiler => v}/tests/repl/chained_fields/c.repl (100%) rename vlib/{compiler => v}/tests/repl/chained_fields/c2.repl (100%) rename vlib/{compiler => v}/tests/repl/chained_fields/d.repl (100%) rename vlib/{compiler => v}/tests/repl/chained_fields/ef.repl (100%) rename vlib/{compiler => v}/tests/repl/conditional_blocks/for.repl (100%) rename vlib/{compiler => v}/tests/repl/conditional_blocks/if.repl (100%) rename vlib/{compiler => v}/tests/repl/conditional_blocks/if_else.repl (100%) rename vlib/{compiler => v}/tests/repl/default_printing.repl (100%) rename vlib/{compiler => v}/tests/repl/empty_struct.repl (100%) rename vlib/{compiler => v}/tests/repl/entire_commented_module.repl (86%) rename vlib/{compiler => v}/tests/repl/error.repl (100%) rename vlib/{compiler => v}/tests/repl/error_nosave.repl (100%) rename vlib/{compiler => v}/tests/repl/function.repl (100%) rename vlib/{compiler => v}/tests/repl/immutable_len_fields/fields.1.repl (100%) rename vlib/{compiler => v}/tests/repl/immutable_len_fields/fields.2.repl (100%) rename vlib/{compiler => v}/tests/repl/immutable_len_fields/fields.3.repl (100%) rename vlib/{compiler => v}/tests/repl/multiple_decl.repl (100%) rename vlib/{compiler => v}/tests/repl/multiple_println.repl (100%) rename vlib/{compiler => v}/tests/repl/naked_strings.repl (100%) rename vlib/{compiler => v}/tests/repl/newlines.repl (100%) rename vlib/{compiler => v}/tests/repl/nomain.repl (100%) rename vlib/{compiler => v}/tests/repl/nothing.repl (100%) rename vlib/{compiler => v}/tests/repl/open_close_string_check.repl (100%) rename vlib/{compiler => v}/tests/repl/option.repl (100%) rename vlib/{compiler => v}/tests/repl/postfix_operators.repl (100%) rename vlib/{compiler => v}/tests/repl/println.repl (100%) rename vlib/{compiler => v}/tests/repl/repl_test.v (95%) rename vlib/{compiler => v}/tests/repl/run.v (93%) rename vlib/{compiler => v}/tests/repl/runner/runner.v (100%) rename vlib/{compiler => v}/tests/repl/var_decl.repl (100%) rename vlib/{compiler => v}/tests/return_voidptr_test.v (100%) rename vlib/{compiler => v}/tests/reusable_mut_multiret_values_test.v (100%) rename vlib/{compiler => v}/tests/shift_test.v (100%) rename vlib/{compiler => v}/tests/str_gen_test.v (100%) rename vlib/{compiler => v}/tests/string_interpolation_array_of_structs_test.v (100%) rename vlib/{compiler => v}/tests/string_interpolation_struct_test.v (100%) rename vlib/{compiler => v}/tests/string_interpolation_test.v (100%) rename vlib/{compiler => v}/tests/string_interpolation_variadic_test.v (100%) rename vlib/{compiler => v}/tests/string_struct_interpolation_test.v (100%) rename vlib/{compiler => v}/tests/struct_chained_fields_correct_test.v (100%) rename vlib/{compiler => v}/tests/struct_test.v (100%) rename vlib/{compiler => v}/tests/type_alias_test.v (100%) rename vlib/{compiler => v}/tests/type_test.v (100%) rename vlib/{compiler => v}/tests/typeof_test.v (100%) rename vlib/{compiler => v}/tests/voidptr_to_u64_cast_a_test.v (100%) rename vlib/{compiler => v}/tests/voidptr_to_u64_cast_b_test.v (100%) diff --git a/cmd/tools/vtest-fmt.v b/cmd/tools/vtest-fmt.v index 4a6dcd27c..ad1350906 100644 --- a/cmd/tools/vtest-fmt.v +++ b/cmd/tools/vtest-fmt.v @@ -20,8 +20,8 @@ const ( './vlib/arrays/arrays.v', './vlib/arrays/arrays_test.v', './vlib/builtin/js/hashmap.v', - './vlib/compiler/tests/fn_variadic_test.v', - './vlib/compiler/tests/generic_test.v', + './vlib/v/tests/fn_variadic_test.v', + './vlib/v/tests/generic_test.v', './vlib/crypto/aes/aes.v', './vlib/crypto/aes/aes_cbc.v', './vlib/crypto/aes/block_generic.v', diff --git a/examples/cli.v b/examples/cli.v index 844d991fd..45c021c01 100644 --- a/examples/cli.v +++ b/examples/cli.v @@ -59,4 +59,4 @@ fn greet_pre_func(cmd cli.Command) { fn greet_post_func(cmd cli.Command) { println('This is a function running after the main function') -} \ No newline at end of file +} diff --git a/vlib/math/math.v b/vlib/math/math.v index 6d1112854..b69783261 100644 --- a/vlib/math/math.v +++ b/vlib/math/math.v @@ -321,4 +321,4 @@ pub fn aprox_cos(a f64) f64 { a7 := 4.7196604604366623e-4 a8 := -1.8776444013090451e-5 return a0 + a * (a1 + a * (a2 + a * (a3 + a * (a4 + a * (a5 + a * (a6 + a * (a7 + a * a8))))))) -} \ No newline at end of file +} diff --git a/vlib/sokol/gfx/gfx.v b/vlib/sokol/gfx/gfx.v index 586e72b40..c8f654140 100644 --- a/vlib/sokol/gfx/gfx.v +++ b/vlib/sokol/gfx/gfx.v @@ -256,4 +256,4 @@ pub fn activate_context(ctx_id C.sg_context) { [inline] pub fn discard_context(ctx_id C.sg_context) { C.sg_discard_context(ctx_id) -} \ No newline at end of file +} diff --git a/vlib/sokol/gfx/gfx_funcs.v b/vlib/sokol/gfx/gfx_funcs.v index 8a3a8b37c..a242393eb 100644 --- a/vlib/sokol/gfx/gfx_funcs.v +++ b/vlib/sokol/gfx/gfx_funcs.v @@ -64,4 +64,4 @@ fn C.sg_query_pass_defaults(desc &C.sg_pass) C.sg_pass_desc /* rendering contexts (optional) */ fn C.sg_setup_context() C.sg_context fn C.sg_activate_context(ctx_id C.sg_context) -fn C.sg_discard_context(ctx_id C.sg_context) \ No newline at end of file +fn C.sg_discard_context(ctx_id C.sg_context) diff --git a/vlib/sokol/sapp/sapp_funcs.v b/vlib/sokol/sapp/sapp_funcs.v index 12d6615fd..48633e8c1 100644 --- a/vlib/sokol/sapp/sapp_funcs.v +++ b/vlib/sokol/sapp/sapp_funcs.v @@ -68,4 +68,4 @@ fn C.sapp_d3d11_get_depth_stencil_view() voidptr /* Win32: get the HWND window handle */ fn C.sapp_win32_get_hwnd() voidptr /* Android: get native activity handle */ -fn C.sapp_android_get_native_activity() voidptr \ No newline at end of file +fn C.sapp_android_get_native_activity() voidptr diff --git a/vlib/strings/similarity_test.v b/vlib/strings/similarity_test.v index 862185707..965da450c 100644 --- a/vlib/strings/similarity_test.v +++ b/vlib/strings/similarity_test.v @@ -10,4 +10,4 @@ fn test_levenshtein_distance() { assert strings.levenshtein_distance('hugs', 'shrugs') == 2 assert strings.levenshtein_distance('broom', 'shroom') == 2 assert strings.levenshtein_distance('flomax', 'volmax') == 3 -} \ No newline at end of file +} diff --git a/vlib/compiler/tests/array_to_string_test.v b/vlib/v/tests/array_to_string_test.v similarity index 100% rename from vlib/compiler/tests/array_to_string_test.v rename to vlib/v/tests/array_to_string_test.v diff --git a/vlib/compiler/tests/asm_test.v b/vlib/v/tests/asm_test.v similarity index 100% rename from vlib/compiler/tests/asm_test.v rename to vlib/v/tests/asm_test.v diff --git a/vlib/compiler/tests/attribute_test.v b/vlib/v/tests/attribute_test.v similarity index 99% rename from vlib/compiler/tests/attribute_test.v rename to vlib/v/tests/attribute_test.v index e93aef6bf..9fb28d1ad 100644 --- a/vlib/compiler/tests/attribute_test.v +++ b/vlib/v/tests/attribute_test.v @@ -30,4 +30,4 @@ fn test_fn_attribute() { [testing] pub fn test_pub_fn_attribute() { assert true -} \ No newline at end of file +} diff --git a/vlib/compiler/tests/backtrace_test.v b/vlib/v/tests/backtrace_test.v similarity index 100% rename from vlib/compiler/tests/backtrace_test.v rename to vlib/v/tests/backtrace_test.v diff --git a/vlib/compiler/tests/bench/val_vs_ptr.c b/vlib/v/tests/bench/val_vs_ptr.c similarity index 100% rename from vlib/compiler/tests/bench/val_vs_ptr.c rename to vlib/v/tests/bench/val_vs_ptr.c diff --git a/vlib/compiler/tests/comptime_bittness_and_endianess_test.v b/vlib/v/tests/comptime_bittness_and_endianess_test.v similarity index 100% rename from vlib/compiler/tests/comptime_bittness_and_endianess_test.v rename to vlib/v/tests/comptime_bittness_and_endianess_test.v diff --git a/vlib/compiler/tests/const_test.v b/vlib/v/tests/const_test.v similarity index 100% rename from vlib/compiler/tests/const_test.v rename to vlib/v/tests/const_test.v diff --git a/vlib/compiler/tests/cstrings_test.v b/vlib/v/tests/cstrings_test.v similarity index 100% rename from vlib/compiler/tests/cstrings_test.v rename to vlib/v/tests/cstrings_test.v diff --git a/vlib/compiler/tests/defer_test.v b/vlib/v/tests/defer_test.v similarity index 100% rename from vlib/compiler/tests/defer_test.v rename to vlib/v/tests/defer_test.v diff --git a/vlib/compiler/tests/enum_bitfield_test.v b/vlib/v/tests/enum_bitfield_test.v similarity index 100% rename from vlib/compiler/tests/enum_bitfield_test.v rename to vlib/v/tests/enum_bitfield_test.v diff --git a/vlib/compiler/tests/enum_hex_test.v b/vlib/v/tests/enum_hex_test.v similarity index 100% rename from vlib/compiler/tests/enum_hex_test.v rename to vlib/v/tests/enum_hex_test.v diff --git a/vlib/compiler/tests/enum_test.v b/vlib/v/tests/enum_test.v similarity index 100% rename from vlib/compiler/tests/enum_test.v rename to vlib/v/tests/enum_test.v diff --git a/vlib/compiler/tests/fixed_array_test.v b/vlib/v/tests/fixed_array_test.v similarity index 100% rename from vlib/compiler/tests/fixed_array_test.v rename to vlib/v/tests/fixed_array_test.v diff --git a/vlib/compiler/tests/fn_expecting_ref_but_returning_struct_test.v b/vlib/v/tests/fn_expecting_ref_but_returning_struct_test.v similarity index 100% rename from vlib/compiler/tests/fn_expecting_ref_but_returning_struct_test.v rename to vlib/v/tests/fn_expecting_ref_but_returning_struct_test.v diff --git a/vlib/compiler/tests/fn_expecting_ref_but_returning_struct_time_module_test.v b/vlib/v/tests/fn_expecting_ref_but_returning_struct_time_module_test.v similarity index 100% rename from vlib/compiler/tests/fn_expecting_ref_but_returning_struct_time_module_test.v rename to vlib/v/tests/fn_expecting_ref_but_returning_struct_time_module_test.v diff --git a/vlib/compiler/tests/fn_multiple_returns_test.v b/vlib/v/tests/fn_multiple_returns_test.v similarity index 100% rename from vlib/compiler/tests/fn_multiple_returns_test.v rename to vlib/v/tests/fn_multiple_returns_test.v diff --git a/vlib/compiler/tests/fn_test.v b/vlib/v/tests/fn_test.v similarity index 100% rename from vlib/compiler/tests/fn_test.v rename to vlib/v/tests/fn_test.v diff --git a/vlib/compiler/tests/fn_variadic_test.v b/vlib/v/tests/fn_variadic_test.v similarity index 100% rename from vlib/compiler/tests/fn_variadic_test.v rename to vlib/v/tests/fn_variadic_test.v diff --git a/vlib/compiler/tests/generic_test.v b/vlib/v/tests/generic_test.v similarity index 100% rename from vlib/compiler/tests/generic_test.v rename to vlib/v/tests/generic_test.v diff --git a/vlib/compiler/tests/if_expression_test.v b/vlib/v/tests/if_expression_test.v similarity index 100% rename from vlib/compiler/tests/if_expression_test.v rename to vlib/v/tests/if_expression_test.v diff --git a/vlib/compiler/tests/in_expression_test.v b/vlib/v/tests/in_expression_test.v similarity index 100% rename from vlib/compiler/tests/in_expression_test.v rename to vlib/v/tests/in_expression_test.v diff --git a/vlib/v/tests/compiler_test.v b/vlib/v/tests/inout/compiler_test.v similarity index 95% rename from vlib/v/tests/compiler_test.v rename to vlib/v/tests/inout/compiler_test.v index e37143b50..fac017fc3 100644 --- a/vlib/v/tests/compiler_test.v +++ b/vlib/v/tests/inout/compiler_test.v @@ -7,7 +7,7 @@ fn test_all() { } vexe := os.getenv('VEXE') vroot := os.dir(vexe) - dir := os.join_path(vroot,'vlib/v/tests') + dir := os.join_path(vroot,'vlib/v/tests/inout') files := os.ls(dir) or { panic(err) } diff --git a/vlib/v/tests/hello.out b/vlib/v/tests/inout/hello.out similarity index 100% rename from vlib/v/tests/hello.out rename to vlib/v/tests/inout/hello.out diff --git a/vlib/v/tests/hello.vv b/vlib/v/tests/inout/hello.vv similarity index 100% rename from vlib/v/tests/hello.vv rename to vlib/v/tests/inout/hello.vv diff --git a/vlib/v/tests/hello_devs.out b/vlib/v/tests/inout/hello_devs.out similarity index 100% rename from vlib/v/tests/hello_devs.out rename to vlib/v/tests/inout/hello_devs.out diff --git a/vlib/v/tests/hello_devs.vv b/vlib/v/tests/inout/hello_devs.vv similarity index 100% rename from vlib/v/tests/hello_devs.vv rename to vlib/v/tests/inout/hello_devs.vv diff --git a/vlib/v/tests/os.out b/vlib/v/tests/inout/os.out similarity index 100% rename from vlib/v/tests/os.out rename to vlib/v/tests/inout/os.out diff --git a/vlib/v/tests/os.vv b/vlib/v/tests/inout/os.vv similarity index 100% rename from vlib/v/tests/os.vv rename to vlib/v/tests/inout/os.vv diff --git a/vlib/compiler/tests/interface_test.v b/vlib/v/tests/interface_test.v similarity index 100% rename from vlib/compiler/tests/interface_test.v rename to vlib/v/tests/interface_test.v diff --git a/vlib/compiler/tests/interfaces_map_test.v b/vlib/v/tests/interfaces_map_test.v similarity index 100% rename from vlib/compiler/tests/interfaces_map_test.v rename to vlib/v/tests/interfaces_map_test.v diff --git a/vlib/compiler/tests/live_test.v b/vlib/v/tests/live_test.v similarity index 100% rename from vlib/compiler/tests/live_test.v rename to vlib/v/tests/live_test.v diff --git a/vlib/compiler/tests/local/local.v b/vlib/v/tests/local/local.v similarity index 100% rename from vlib/compiler/tests/local/local.v rename to vlib/v/tests/local/local.v diff --git a/vlib/compiler/tests/local_test.v b/vlib/v/tests/local_test.v similarity index 70% rename from vlib/compiler/tests/local_test.v rename to vlib/v/tests/local_test.v index ec8628cef..a14f36f6c 100644 --- a/vlib/compiler/tests/local_test.v +++ b/vlib/v/tests/local_test.v @@ -1,5 +1,5 @@ -import compiler.tests.local +import v.tests.local fn test_local_module_is_callable() { assert local.local_fn() diff --git a/vlib/compiler/tests/match_test.v b/vlib/v/tests/match_test.v similarity index 100% rename from vlib/compiler/tests/match_test.v rename to vlib/v/tests/match_test.v diff --git a/vlib/compiler/tests/module_test.v b/vlib/v/tests/module_test.v similarity index 100% rename from vlib/compiler/tests/module_test.v rename to vlib/v/tests/module_test.v diff --git a/vlib/compiler/tests/modules/acommentedmodule/commentedfile.v b/vlib/v/tests/modules/acommentedmodule/commentedfile.v similarity index 100% rename from vlib/compiler/tests/modules/acommentedmodule/commentedfile.v rename to vlib/v/tests/modules/acommentedmodule/commentedfile.v diff --git a/vlib/compiler/tests/modules/amodule/another_internal_module_test.v b/vlib/v/tests/modules/amodule/another_internal_module_test.v similarity index 100% rename from vlib/compiler/tests/modules/amodule/another_internal_module_test.v rename to vlib/v/tests/modules/amodule/another_internal_module_test.v diff --git a/vlib/compiler/tests/modules/amodule/internal_module_test.v b/vlib/v/tests/modules/amodule/internal_module_test.v similarity index 100% rename from vlib/compiler/tests/modules/amodule/internal_module_test.v rename to vlib/v/tests/modules/amodule/internal_module_test.v diff --git a/vlib/compiler/tests/modules/amodule/module.v b/vlib/v/tests/modules/amodule/module.v similarity index 100% rename from vlib/compiler/tests/modules/amodule/module.v rename to vlib/v/tests/modules/amodule/module.v diff --git a/vlib/compiler/tests/modules/simplemodule/importing_test.v b/vlib/v/tests/modules/simplemodule/importing_test.v similarity index 100% rename from vlib/compiler/tests/modules/simplemodule/importing_test.v rename to vlib/v/tests/modules/simplemodule/importing_test.v diff --git a/vlib/compiler/tests/modules/simplemodule/simplemodule.v b/vlib/v/tests/modules/simplemodule/simplemodule.v similarity index 100% rename from vlib/compiler/tests/modules/simplemodule/simplemodule.v rename to vlib/v/tests/modules/simplemodule/simplemodule.v diff --git a/vlib/compiler/tests/msvc_test.v b/vlib/v/tests/msvc_test.v similarity index 100% rename from vlib/compiler/tests/msvc_test.v rename to vlib/v/tests/msvc_test.v diff --git a/vlib/compiler/tests/multiret_with_ptrtype_test.v b/vlib/v/tests/multiret_with_ptrtype_test.v similarity index 100% rename from vlib/compiler/tests/multiret_with_ptrtype_test.v rename to vlib/v/tests/multiret_with_ptrtype_test.v diff --git a/vlib/compiler/tests/mut_test.v b/vlib/v/tests/mut_test.v similarity index 100% rename from vlib/compiler/tests/mut_test.v rename to vlib/v/tests/mut_test.v diff --git a/vlib/compiler/tests/nameof_test.v b/vlib/v/tests/nameof_test.v similarity index 100% rename from vlib/compiler/tests/nameof_test.v rename to vlib/v/tests/nameof_test.v diff --git a/vlib/compiler/tests/option_test.v b/vlib/v/tests/option_test.v similarity index 99% rename from vlib/compiler/tests/option_test.v rename to vlib/v/tests/option_test.v index 1e7334662..fee5d6b89 100644 --- a/vlib/compiler/tests/option_test.v +++ b/vlib/v/tests/option_test.v @@ -161,4 +161,4 @@ fn test_multi_return_opt() { assert err == 'oops' return } -} \ No newline at end of file +} diff --git a/vlib/compiler/tests/pointers_test.v b/vlib/v/tests/pointers_test.v similarity index 100% rename from vlib/compiler/tests/pointers_test.v rename to vlib/v/tests/pointers_test.v diff --git a/vlib/compiler/tests/print_test.v b/vlib/v/tests/print_test.v similarity index 100% rename from vlib/compiler/tests/print_test.v rename to vlib/v/tests/print_test.v diff --git a/vlib/compiler/tests/prod/.gitignore b/vlib/v/tests/prod/.gitignore similarity index 100% rename from vlib/compiler/tests/prod/.gitignore rename to vlib/v/tests/prod/.gitignore diff --git a/vlib/compiler/tests/prod/assoc.prod.v b/vlib/v/tests/prod/assoc.prod.v similarity index 100% rename from vlib/compiler/tests/prod/assoc.prod.v rename to vlib/v/tests/prod/assoc.prod.v diff --git a/vlib/compiler/tests/prod/assoc.prod.v.expected.txt b/vlib/v/tests/prod/assoc.prod.v.expected.txt similarity index 100% rename from vlib/compiler/tests/prod/assoc.prod.v.expected.txt rename to vlib/v/tests/prod/assoc.prod.v.expected.txt diff --git a/vlib/compiler/tests/prod_test.v b/vlib/v/tests/prod_test.v similarity index 95% rename from vlib/compiler/tests/prod_test.v rename to vlib/v/tests/prod_test.v index 28cebf333..db42245e0 100644 --- a/vlib/compiler/tests/prod_test.v +++ b/vlib/v/tests/prod_test.v @@ -1,7 +1,7 @@ // Build and run files in ./prod/ folder, comparing their output to *.expected.txt files. // (Similar to REPL tests, but in -prod mode.) // import os -import compiler.tests.repl.runner +import v.tests.repl.runner import benchmark fn test_all_v_prod_files() { diff --git a/vlib/compiler/tests/project_with_c_code/.gitignore b/vlib/v/tests/project_with_c_code/.gitignore similarity index 100% rename from vlib/compiler/tests/project_with_c_code/.gitignore rename to vlib/v/tests/project_with_c_code/.gitignore diff --git a/vlib/compiler/tests/project_with_c_code/.v.mod.stop b/vlib/v/tests/project_with_c_code/.v.mod.stop similarity index 100% rename from vlib/compiler/tests/project_with_c_code/.v.mod.stop rename to vlib/v/tests/project_with_c_code/.v.mod.stop diff --git a/vlib/compiler/tests/project_with_c_code/main.v b/vlib/v/tests/project_with_c_code/main.v similarity index 100% rename from vlib/compiler/tests/project_with_c_code/main.v rename to vlib/v/tests/project_with_c_code/main.v diff --git a/vlib/compiler/tests/project_with_c_code/main_test.v b/vlib/v/tests/project_with_c_code/main_test.v similarity index 100% rename from vlib/compiler/tests/project_with_c_code/main_test.v rename to vlib/v/tests/project_with_c_code/main_test.v diff --git a/vlib/compiler/tests/project_with_c_code/mod1/c/header.h b/vlib/v/tests/project_with_c_code/mod1/c/header.h similarity index 100% rename from vlib/compiler/tests/project_with_c_code/mod1/c/header.h rename to vlib/v/tests/project_with_c_code/mod1/c/header.h diff --git a/vlib/compiler/tests/project_with_c_code/mod1/c/implementation.c b/vlib/v/tests/project_with_c_code/mod1/c/implementation.c similarity index 100% rename from vlib/compiler/tests/project_with_c_code/mod1/c/implementation.c rename to vlib/v/tests/project_with_c_code/mod1/c/implementation.c diff --git a/vlib/compiler/tests/project_with_c_code/mod1/v.mod b/vlib/v/tests/project_with_c_code/mod1/v.mod similarity index 100% rename from vlib/compiler/tests/project_with_c_code/mod1/v.mod rename to vlib/v/tests/project_with_c_code/mod1/v.mod diff --git a/vlib/compiler/tests/project_with_c_code/mod1/wrapper.v b/vlib/v/tests/project_with_c_code/mod1/wrapper.v similarity index 100% rename from vlib/compiler/tests/project_with_c_code/mod1/wrapper.v rename to vlib/v/tests/project_with_c_code/mod1/wrapper.v diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/.gitignore b/vlib/v/tests/project_with_modules_having_submodules/.gitignore similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/.gitignore rename to vlib/v/tests/project_with_modules_having_submodules/.gitignore diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/README.md b/vlib/v/tests/project_with_modules_having_submodules/README.md similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/README.md rename to vlib/v/tests/project_with_modules_having_submodules/README.md diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/bin/a_program_under_bin_can_find_mod1_test.v b/vlib/v/tests/project_with_modules_having_submodules/bin/a_program_under_bin_can_find_mod1_test.v similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/bin/a_program_under_bin_can_find_mod1_test.v rename to vlib/v/tests/project_with_modules_having_submodules/bin/a_program_under_bin_can_find_mod1_test.v diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/bin/main.vsh b/vlib/v/tests/project_with_modules_having_submodules/bin/main.vsh similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/bin/main.vsh rename to vlib/v/tests/project_with_modules_having_submodules/bin/main.vsh diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/mod1/m.v b/vlib/v/tests/project_with_modules_having_submodules/mod1/m.v similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/mod1/m.v rename to vlib/v/tests/project_with_modules_having_submodules/mod1/m.v diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/mod1/mod11/m.v b/vlib/v/tests/project_with_modules_having_submodules/mod1/mod11/m.v similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/mod1/mod11/m.v rename to vlib/v/tests/project_with_modules_having_submodules/mod1/mod11/m.v diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/mod1/mod12/m.v b/vlib/v/tests/project_with_modules_having_submodules/mod1/mod12/m.v similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/mod1/mod12/m.v rename to vlib/v/tests/project_with_modules_having_submodules/mod1/mod12/m.v diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/mod1/mod13/m.v b/vlib/v/tests/project_with_modules_having_submodules/mod1/mod13/m.v similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/mod1/mod13/m.v rename to vlib/v/tests/project_with_modules_having_submodules/mod1/mod13/m.v diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/mod1/mod14/m.v b/vlib/v/tests/project_with_modules_having_submodules/mod1/mod14/m.v similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/mod1/mod14/m.v rename to vlib/v/tests/project_with_modules_having_submodules/mod1/mod14/m.v diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/mod1/submodule/m.v b/vlib/v/tests/project_with_modules_having_submodules/mod1/submodule/m.v similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/mod1/submodule/m.v rename to vlib/v/tests/project_with_modules_having_submodules/mod1/submodule/m.v diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/mod1/v.mod b/vlib/v/tests/project_with_modules_having_submodules/mod1/v.mod similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/mod1/v.mod rename to vlib/v/tests/project_with_modules_having_submodules/mod1/v.mod diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/tests/submodule_test.v b/vlib/v/tests/project_with_modules_having_submodules/tests/submodule_test.v similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/tests/submodule_test.v rename to vlib/v/tests/project_with_modules_having_submodules/tests/submodule_test.v diff --git a/vlib/compiler/tests/project_with_modules_having_submodules/v.mod b/vlib/v/tests/project_with_modules_having_submodules/v.mod similarity index 100% rename from vlib/compiler/tests/project_with_modules_having_submodules/v.mod rename to vlib/v/tests/project_with_modules_having_submodules/v.mod diff --git a/vlib/compiler/tests/repeated_multiret_values_test.v b/vlib/v/tests/repeated_multiret_values_test.v similarity index 100% rename from vlib/compiler/tests/repeated_multiret_values_test.v rename to vlib/v/tests/repeated_multiret_values_test.v diff --git a/vlib/compiler/tests/repl/.gitattributes b/vlib/v/tests/repl/.gitattributes similarity index 100% rename from vlib/compiler/tests/repl/.gitattributes rename to vlib/v/tests/repl/.gitattributes diff --git a/vlib/compiler/tests/repl/.gitignore b/vlib/v/tests/repl/.gitignore similarity index 100% rename from vlib/compiler/tests/repl/.gitignore rename to vlib/v/tests/repl/.gitignore diff --git a/vlib/compiler/tests/repl/README.md b/vlib/v/tests/repl/README.md similarity index 100% rename from vlib/compiler/tests/repl/README.md rename to vlib/v/tests/repl/README.md diff --git a/vlib/compiler/tests/repl/bad_in_type.repl b/vlib/v/tests/repl/bad_in_type.repl similarity index 100% rename from vlib/compiler/tests/repl/bad_in_type.repl rename to vlib/v/tests/repl/bad_in_type.repl diff --git a/vlib/compiler/tests/repl/chained_fields/bd.repl b/vlib/v/tests/repl/chained_fields/bd.repl similarity index 100% rename from vlib/compiler/tests/repl/chained_fields/bd.repl rename to vlib/v/tests/repl/chained_fields/bd.repl diff --git a/vlib/compiler/tests/repl/chained_fields/c.repl b/vlib/v/tests/repl/chained_fields/c.repl similarity index 100% rename from vlib/compiler/tests/repl/chained_fields/c.repl rename to vlib/v/tests/repl/chained_fields/c.repl diff --git a/vlib/compiler/tests/repl/chained_fields/c2.repl b/vlib/v/tests/repl/chained_fields/c2.repl similarity index 100% rename from vlib/compiler/tests/repl/chained_fields/c2.repl rename to vlib/v/tests/repl/chained_fields/c2.repl diff --git a/vlib/compiler/tests/repl/chained_fields/d.repl b/vlib/v/tests/repl/chained_fields/d.repl similarity index 100% rename from vlib/compiler/tests/repl/chained_fields/d.repl rename to vlib/v/tests/repl/chained_fields/d.repl diff --git a/vlib/compiler/tests/repl/chained_fields/ef.repl b/vlib/v/tests/repl/chained_fields/ef.repl similarity index 100% rename from vlib/compiler/tests/repl/chained_fields/ef.repl rename to vlib/v/tests/repl/chained_fields/ef.repl diff --git a/vlib/compiler/tests/repl/conditional_blocks/for.repl b/vlib/v/tests/repl/conditional_blocks/for.repl similarity index 100% rename from vlib/compiler/tests/repl/conditional_blocks/for.repl rename to vlib/v/tests/repl/conditional_blocks/for.repl diff --git a/vlib/compiler/tests/repl/conditional_blocks/if.repl b/vlib/v/tests/repl/conditional_blocks/if.repl similarity index 100% rename from vlib/compiler/tests/repl/conditional_blocks/if.repl rename to vlib/v/tests/repl/conditional_blocks/if.repl diff --git a/vlib/compiler/tests/repl/conditional_blocks/if_else.repl b/vlib/v/tests/repl/conditional_blocks/if_else.repl similarity index 100% rename from vlib/compiler/tests/repl/conditional_blocks/if_else.repl rename to vlib/v/tests/repl/conditional_blocks/if_else.repl diff --git a/vlib/compiler/tests/repl/default_printing.repl b/vlib/v/tests/repl/default_printing.repl similarity index 100% rename from vlib/compiler/tests/repl/default_printing.repl rename to vlib/v/tests/repl/default_printing.repl diff --git a/vlib/compiler/tests/repl/empty_struct.repl b/vlib/v/tests/repl/empty_struct.repl similarity index 100% rename from vlib/compiler/tests/repl/empty_struct.repl rename to vlib/v/tests/repl/empty_struct.repl diff --git a/vlib/compiler/tests/repl/entire_commented_module.repl b/vlib/v/tests/repl/entire_commented_module.repl similarity index 86% rename from vlib/compiler/tests/repl/entire_commented_module.repl rename to vlib/v/tests/repl/entire_commented_module.repl index 1a9e839d3..8eefa4048 100644 --- a/vlib/compiler/tests/repl/entire_commented_module.repl +++ b/vlib/v/tests/repl/entire_commented_module.repl @@ -1,3 +1,3 @@ -import compiler.tests.modules.acommentedmodule +import v.tests.modules.acommentedmodule ===output=== vlib/compiler/tests/modules/acommentedmodule/commentedfile.v:7:1: bad module definition: vlib/compiler/tests/modules/acommentedmodule/commentedfile.v imports module "compiler.tests.modules.acommentedmodule" but vlib/compiler/tests/modules/acommentedmodule/commentedfile.v is defined as module `main` diff --git a/vlib/compiler/tests/repl/error.repl b/vlib/v/tests/repl/error.repl similarity index 100% rename from vlib/compiler/tests/repl/error.repl rename to vlib/v/tests/repl/error.repl diff --git a/vlib/compiler/tests/repl/error_nosave.repl b/vlib/v/tests/repl/error_nosave.repl similarity index 100% rename from vlib/compiler/tests/repl/error_nosave.repl rename to vlib/v/tests/repl/error_nosave.repl diff --git a/vlib/compiler/tests/repl/function.repl b/vlib/v/tests/repl/function.repl similarity index 100% rename from vlib/compiler/tests/repl/function.repl rename to vlib/v/tests/repl/function.repl diff --git a/vlib/compiler/tests/repl/immutable_len_fields/fields.1.repl b/vlib/v/tests/repl/immutable_len_fields/fields.1.repl similarity index 100% rename from vlib/compiler/tests/repl/immutable_len_fields/fields.1.repl rename to vlib/v/tests/repl/immutable_len_fields/fields.1.repl diff --git a/vlib/compiler/tests/repl/immutable_len_fields/fields.2.repl b/vlib/v/tests/repl/immutable_len_fields/fields.2.repl similarity index 100% rename from vlib/compiler/tests/repl/immutable_len_fields/fields.2.repl rename to vlib/v/tests/repl/immutable_len_fields/fields.2.repl diff --git a/vlib/compiler/tests/repl/immutable_len_fields/fields.3.repl b/vlib/v/tests/repl/immutable_len_fields/fields.3.repl similarity index 100% rename from vlib/compiler/tests/repl/immutable_len_fields/fields.3.repl rename to vlib/v/tests/repl/immutable_len_fields/fields.3.repl diff --git a/vlib/compiler/tests/repl/multiple_decl.repl b/vlib/v/tests/repl/multiple_decl.repl similarity index 100% rename from vlib/compiler/tests/repl/multiple_decl.repl rename to vlib/v/tests/repl/multiple_decl.repl diff --git a/vlib/compiler/tests/repl/multiple_println.repl b/vlib/v/tests/repl/multiple_println.repl similarity index 100% rename from vlib/compiler/tests/repl/multiple_println.repl rename to vlib/v/tests/repl/multiple_println.repl diff --git a/vlib/compiler/tests/repl/naked_strings.repl b/vlib/v/tests/repl/naked_strings.repl similarity index 100% rename from vlib/compiler/tests/repl/naked_strings.repl rename to vlib/v/tests/repl/naked_strings.repl diff --git a/vlib/compiler/tests/repl/newlines.repl b/vlib/v/tests/repl/newlines.repl similarity index 100% rename from vlib/compiler/tests/repl/newlines.repl rename to vlib/v/tests/repl/newlines.repl diff --git a/vlib/compiler/tests/repl/nomain.repl b/vlib/v/tests/repl/nomain.repl similarity index 100% rename from vlib/compiler/tests/repl/nomain.repl rename to vlib/v/tests/repl/nomain.repl diff --git a/vlib/compiler/tests/repl/nothing.repl b/vlib/v/tests/repl/nothing.repl similarity index 100% rename from vlib/compiler/tests/repl/nothing.repl rename to vlib/v/tests/repl/nothing.repl diff --git a/vlib/compiler/tests/repl/open_close_string_check.repl b/vlib/v/tests/repl/open_close_string_check.repl similarity index 100% rename from vlib/compiler/tests/repl/open_close_string_check.repl rename to vlib/v/tests/repl/open_close_string_check.repl diff --git a/vlib/compiler/tests/repl/option.repl b/vlib/v/tests/repl/option.repl similarity index 100% rename from vlib/compiler/tests/repl/option.repl rename to vlib/v/tests/repl/option.repl diff --git a/vlib/compiler/tests/repl/postfix_operators.repl b/vlib/v/tests/repl/postfix_operators.repl similarity index 100% rename from vlib/compiler/tests/repl/postfix_operators.repl rename to vlib/v/tests/repl/postfix_operators.repl diff --git a/vlib/compiler/tests/repl/println.repl b/vlib/v/tests/repl/println.repl similarity index 100% rename from vlib/compiler/tests/repl/println.repl rename to vlib/v/tests/repl/println.repl diff --git a/vlib/compiler/tests/repl/repl_test.v b/vlib/v/tests/repl/repl_test.v similarity index 95% rename from vlib/compiler/tests/repl/repl_test.v rename to vlib/v/tests/repl/repl_test.v index 3177a4dea..79da42b76 100644 --- a/vlib/compiler/tests/repl/repl_test.v +++ b/vlib/v/tests/repl/repl_test.v @@ -1,7 +1,7 @@ module main import os -import compiler.tests.repl.runner +import v.tests.repl.runner import benchmark import sync @@ -36,7 +36,7 @@ fn test_all_v_repl_files() { bmark: benchmark.new_benchmark() } // warmup, and ensure that the vrepl is compiled in single threaded mode if it does not exist - runner.run_repl_file(os.cache_dir(), session.options.vexec, 'vlib/compiler/tests/repl/nothing.repl') or { + runner.run_repl_file(os.cache_dir(), session.options.vexec, 'vlib/v/tests/repl/nothing.repl') or { panic(err) } session.bmark.set_total_expected_steps(session.options.files.len) @@ -63,7 +63,7 @@ fn worker_repl(p mut sync.PoolProcessor, idx int, thread_id int) voidptr { p.set_thread_context(idx, tls_bench) } tls_bench.cstep = idx - tfolder := os.join_path(cdir, 'vrepl_tests_$idx') + tfolder := os.join_path(cdir,'vrepl_tests_$idx') if os.is_dir(tfolder) { os.rmdir_all(tfolder) } diff --git a/vlib/compiler/tests/repl/run.v b/vlib/v/tests/repl/run.v similarity index 93% rename from vlib/compiler/tests/repl/run.v rename to vlib/v/tests/repl/run.v index 94d194709..361c7e6ee 100644 --- a/vlib/compiler/tests/repl/run.v +++ b/vlib/v/tests/repl/run.v @@ -1,6 +1,6 @@ module main -import compiler.tests.repl.runner +import v.tests.repl.runner import log import benchmark diff --git a/vlib/compiler/tests/repl/runner/runner.v b/vlib/v/tests/repl/runner/runner.v similarity index 100% rename from vlib/compiler/tests/repl/runner/runner.v rename to vlib/v/tests/repl/runner/runner.v diff --git a/vlib/compiler/tests/repl/var_decl.repl b/vlib/v/tests/repl/var_decl.repl similarity index 100% rename from vlib/compiler/tests/repl/var_decl.repl rename to vlib/v/tests/repl/var_decl.repl diff --git a/vlib/compiler/tests/return_voidptr_test.v b/vlib/v/tests/return_voidptr_test.v similarity index 100% rename from vlib/compiler/tests/return_voidptr_test.v rename to vlib/v/tests/return_voidptr_test.v diff --git a/vlib/compiler/tests/reusable_mut_multiret_values_test.v b/vlib/v/tests/reusable_mut_multiret_values_test.v similarity index 100% rename from vlib/compiler/tests/reusable_mut_multiret_values_test.v rename to vlib/v/tests/reusable_mut_multiret_values_test.v diff --git a/vlib/compiler/tests/shift_test.v b/vlib/v/tests/shift_test.v similarity index 100% rename from vlib/compiler/tests/shift_test.v rename to vlib/v/tests/shift_test.v diff --git a/vlib/compiler/tests/str_gen_test.v b/vlib/v/tests/str_gen_test.v similarity index 100% rename from vlib/compiler/tests/str_gen_test.v rename to vlib/v/tests/str_gen_test.v diff --git a/vlib/compiler/tests/string_interpolation_array_of_structs_test.v b/vlib/v/tests/string_interpolation_array_of_structs_test.v similarity index 100% rename from vlib/compiler/tests/string_interpolation_array_of_structs_test.v rename to vlib/v/tests/string_interpolation_array_of_structs_test.v diff --git a/vlib/compiler/tests/string_interpolation_struct_test.v b/vlib/v/tests/string_interpolation_struct_test.v similarity index 100% rename from vlib/compiler/tests/string_interpolation_struct_test.v rename to vlib/v/tests/string_interpolation_struct_test.v diff --git a/vlib/compiler/tests/string_interpolation_test.v b/vlib/v/tests/string_interpolation_test.v similarity index 100% rename from vlib/compiler/tests/string_interpolation_test.v rename to vlib/v/tests/string_interpolation_test.v diff --git a/vlib/compiler/tests/string_interpolation_variadic_test.v b/vlib/v/tests/string_interpolation_variadic_test.v similarity index 100% rename from vlib/compiler/tests/string_interpolation_variadic_test.v rename to vlib/v/tests/string_interpolation_variadic_test.v diff --git a/vlib/compiler/tests/string_struct_interpolation_test.v b/vlib/v/tests/string_struct_interpolation_test.v similarity index 100% rename from vlib/compiler/tests/string_struct_interpolation_test.v rename to vlib/v/tests/string_struct_interpolation_test.v diff --git a/vlib/compiler/tests/struct_chained_fields_correct_test.v b/vlib/v/tests/struct_chained_fields_correct_test.v similarity index 100% rename from vlib/compiler/tests/struct_chained_fields_correct_test.v rename to vlib/v/tests/struct_chained_fields_correct_test.v diff --git a/vlib/compiler/tests/struct_test.v b/vlib/v/tests/struct_test.v similarity index 100% rename from vlib/compiler/tests/struct_test.v rename to vlib/v/tests/struct_test.v diff --git a/vlib/compiler/tests/type_alias_test.v b/vlib/v/tests/type_alias_test.v similarity index 100% rename from vlib/compiler/tests/type_alias_test.v rename to vlib/v/tests/type_alias_test.v diff --git a/vlib/compiler/tests/type_test.v b/vlib/v/tests/type_test.v similarity index 100% rename from vlib/compiler/tests/type_test.v rename to vlib/v/tests/type_test.v diff --git a/vlib/compiler/tests/typeof_test.v b/vlib/v/tests/typeof_test.v similarity index 100% rename from vlib/compiler/tests/typeof_test.v rename to vlib/v/tests/typeof_test.v diff --git a/vlib/compiler/tests/voidptr_to_u64_cast_a_test.v b/vlib/v/tests/voidptr_to_u64_cast_a_test.v similarity index 100% rename from vlib/compiler/tests/voidptr_to_u64_cast_a_test.v rename to vlib/v/tests/voidptr_to_u64_cast_a_test.v diff --git a/vlib/compiler/tests/voidptr_to_u64_cast_b_test.v b/vlib/v/tests/voidptr_to_u64_cast_b_test.v similarity index 100% rename from vlib/compiler/tests/voidptr_to_u64_cast_b_test.v rename to vlib/v/tests/voidptr_to_u64_cast_b_test.v -- 2.30.2